A. Carroll and G. Heiser, An analysis of power consumption in a smartphone, Proceedings of the USENIX conference on USENIX, 2010.

K. D. Vogeleer, G. Memmi, P. Jouvelot, and F. Coelho, The Energy/Frequency Convexity Rule: Modeling and Experimental Validation on Mobile Devices, Proceedings of the 10th Conference on Parallel Processing and Applied Mathematics, 2013.
DOI : 10.1007/978-3-642-55224-3_74

URL : https://hal.archives-ouvertes.fr/hal-00919414

V. Seeker, P. Petoumenos, H. Leather, and B. Franke, Measuring QoE of interactive workloads and characterising frequency governors on mobile devices, 2014 IEEE International Symposium on Workload Characterization (IISWC), pp.61-70, 2014.
DOI : 10.1109/IISWC.2014.6983040

K. D. Vogeleer and . Telecom-paristech, The energy/frequency convexity rule of a program's energy consumption: Modeling, thermosensitivity and applications, p.75013, 2015.

N. H. Weste and K. Eshraghian, Principles of CMOS VLSI design: a systems perspective, 1985.

K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy et al., Temperature-aware microarchitecture, ACM Transactions on Architecture and Code Optimization, vol.1, issue.1, pp.94-125, 2004.
DOI : 10.1145/980152.980157

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.135.9559

K. D. Vogeleer, G. Memmi, P. Jouvelot, and F. Coelho, Modeling the temperature bias of power consumption for nanometer-scale cpus in application processors, XIVth International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, pp.172-180, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01111647

J. Pallister, S. Hollis, and J. Bennett, The impact of different compiler options on energy consumption, First LPGPU Workshop on Power-Efficient GPU and Many-core Computing, ser. PEGPUM '13, 2013.

M. Valluri and L. John, Is compiling for performance == compiling for power? " in Interaction between Compilers and Computer Architectures, ser. The Springer International Series in Engineering and Computer Science, pp.101-115, 2001.
DOI : 10.1007/978-1-4757-3337-2_6

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.28.1502

T. Yuki and S. Rajopadhye, Folklore Confirmed: Compiling for Speed $$=$$ Compiling for Energy, Proceedings of the 26th International Workshop on Languages and Compilers for Parallel Computing, 2013.
DOI : 10.1007/978-3-319-09967-5_10

N. Rizvandi, J. Taheri, A. Zomaya, and Y. C. Lee, Linear Combinations of DVFS-Enabled Processor Frequencies to Modify the Energy-Aware Scheduling Algorithms, 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, pp.388-397, 2010.
DOI : 10.1109/CCGRID.2010.38

G. Candido, Le risoluzioni della equazione di quarto grado (Ferrari-Eulero-Lagrange), Period. Mat, vol.21, issue.4, pp.88-106, 1941.

J. Pallister, S. Hollis, and J. Bennett, BEEBS: Open benchmarks for energy measurements on embedded platforms, 1308.

Y. Seo, J. Kim, and E. Seo, Effectiveness Analysis of DVFS and DPM in Mobile Devices, Journal of Computer Science and Technology, vol.15, issue.5, pp.781-790, 2012.
DOI : 10.1007/s11390-012-1264-6

D. C. Snowdon, S. Ruocco, and G. Heiser, Power management and dynamic voltage scaling: Myths and facts, 2005 WS Power Aware Real-time Comput, 2005.

X. Fan, C. S. Ellis, and A. R. Lebeck, The Synergy Between Power-Aware Memory Systems and Processor Voltage Scaling, Proceedings of the Third international conference on Power -Aware Computer Systems, pp.164-179, 2004.
DOI : 10.1109/92.920816

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.12.4865

E. , L. Sueur, and G. Heiser, Dynamic voltage and frequency scaling: the laws of diminishing returns, Proceedings of the 2010 international conference on Power aware computing and systems, ser. HotPower'10, pp.1-8, 2010.

M. Seok, D. Jeon, C. Chakrabarti, D. Blaauw, and D. Sylvester, Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.990-995, 2011.
DOI : 10.1145/2024724.2024943

E. Senn, J. Laurent, N. Julien, and E. Martin, SoftExplorer: Estimating and Optimizing the Power and Energy Consumption of a C Program for DSP Applications, EURASIP Journal on Advances in Signal Processing, vol.2005, issue.16, pp.2641-2654, 2005.
DOI : 10.1155/ASP.2005.2641

URL : https://hal.archives-ouvertes.fr/hal-00077302

B. Austin and N. J. Wright, Measurement and interpretation of microbenchmark and application energy use on the Cray XC30, Proceedings of the 2Nd International Workshop on Energy Efficient Supercomputing, ser. E2SC '14, pp.51-59, 2014.

G. Hager, J. Treibig, J. Habich, and G. Wellein, Exploring performance and power properties of modern multi-core chips via simple machine models Concurrency and Computation: Practice and Experience, p.3180, 2013.

V. W. Freeh, D. K. Lowenthal, F. Pan, N. Kappiah, R. Springer et al., Analyzing the Energy-Time Trade-Off in High-Performance Computing Applications, IEEE Transactions on Parallel and Distributed Systems, vol.18, issue.6, pp.835-848, 2007.
DOI : 10.1109/TPDS.2007.1026

A. Sinha and A. P. Chandrakasan, JouleTrack, Proceedings of the 38th conference on Design automation , DAC '01, pp.220-225, 2001.
DOI : 10.1145/378239.378467

T. Simunic, L. Benini, and G. Micheli, Cycle-accurate simulation of energy consumption in embedded systems, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361), pp.867-872, 1999.
DOI : 10.1109/DAC.1999.782199

B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, Theoretical and practical limits of dynamic voltage scaling, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.868-873, 2004.
DOI : 10.1145/996566.996798

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.109.7118

B. Calhoun, A. Wang, N. Verma, and A. Chandrakasan, Subthreshold design: The challenges of minimizing circuit energy, Low Power Electronics and Design Proceedings of the International Symposium on, pp.366-368, 2006.

T. Kuroda, Optimization and control of vdd and vth for lowpower , high-speed CMOS design, Computer Aided Design, pp.28-34, 2002.

Y. Cho and N. Chang, Energy-aware clock-frequency assignment in microprocessors and memory devices for dynamic voltage scaling Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.6, pp.1030-1040, 2006.

S. Cho and R. G. Melhem, On the Interplay of Parallelization, Program Performance, and Energy Consumption, IEEE Transactions on Parallel and Distributed Systems, vol.21, issue.3, pp.342-353, 2010.
DOI : 10.1109/TPDS.2009.41

N. B. Rizvandi, J. Taheri, and A. Y. Zomaya, Some observations on optimal frequency selection in DVFS-based energy consumption minimization, Journal of Parallel and Distributed Computing, vol.71, issue.8, pp.1154-1164, 2011.
DOI : 10.1016/j.jpdc.2011.01.004

URL : http://arxiv.org/abs/1201.1695

E. Vasilaki, An instruction level energy characterization of arm processors Foundation of Research and Technology Hellas (FORTH), Tech. Rep. FORTH- ICS, 2015.

J. Halimi, B. Pradelle, A. Guermouche, N. Triquenaux, A. Laurent et al., Reactive DVFS Control for Multicore Processors, 2013 IEEE International Conference on Green Computing and Communications and IEEE Internet of Things and IEEE Cyber, Physical and Social Computing, pp.102-109, 2013.
DOI : 10.1109/GreenCom-iThings-CPSCom.2013.41

M. Qiu, Z. Ming, J. Li, S. Liu, B. Wang et al., Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors, Journal of Systems Architecture, vol.58, issue.10, pp.439-445, 2012.
DOI : 10.1016/j.sysarc.2012.07.001

J. Halimi, B. Pradelle, A. Guermouche, and W. Jalby, Forestmn: Runtime DVFS beyond communication slack, International Green Computing Conference, IGCC 2014, pp.1-6, 2014.
DOI : 10.1109/igcc.2014.7039158