M. Abramovici, P. A. Bradley, P. L. Levin, and G. Memmi, Integrated circuit with autonomous power management, 2006.

B. Austin and N. J. Wright, Measurement and interpretation of microbenchmark and application energy use on the Cray XC30, Proceedings of the 2 nd International Workshop on Energy Efficient Supercomputing E2SC '14, pp.51-59

L. Benini, A. Bogliolo, and G. Micheli, A survey of design techniques for system-level dynamic power management. IEEE Trans. on very large-scale integration (VLSI) SYSTEMS, pp.299-316, 2000.

C. Bennett, The thermodynamics of computation???a review, International Journal of Theoretical Physics, vol.25, issue.No. 5, pp.12-905, 1982.
DOI : 10.1145/321892.321894

M. K. Berhe, Ergonomic Temperature Limits for Handheld Electronic Devices, ASME 2007 InterPACK Conference, Volume 2, pp.1041-1047, 2007.
DOI : 10.1115/IPACK2007-33873

S. Biswas, M. Tiwari, T. Sherwood, L. Theogaraja, and F. Chong, Fighting fire with fire: Modeling the datacenter-scale effects of targeted superlattice thermal management, Computer Architecture (ISCA), 2011 38 th Annual International Symposium on, pp.331-340, 2011.

A. Carroll and G. Heiser, An analysis of power consumption in a smartphone, Proceedings of the USENIX conference on USENIX, 2010.

Y. Cengel and A. Ghajar, Heat and Mass Transfer: Fundamentals and Applications, 2010.

Y. Cho and N. Chang, Energy-aware clock-frequency assignment in microprocessors and memory devices for dynamic voltage scaling. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.6, pp.1030-1040, 2006.

A. Cohen, F. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy, On Estimating Optimal Performance of CPU Dynamic Thermal Management, IEEE Computer Architecture Letters, vol.2, issue.1, p.1, 2003.
DOI : 10.1109/L-CA.2003.5

K. D. Vogeleer, G. Memmi, P. Jouvelot, and F. Coelho, The Energy/Frequency Convexity Rule: Modeling and Experimental Validation on Mobile Devices, Proceedings of the 10 th Conference on Parallel Processing and Applied Mathematics, 2013.
DOI : 10.1007/978-3-642-55224-3_74

URL : https://hal.archives-ouvertes.fr/hal-00919414

K. D. Vogeleer, G. Memmi, and P. Jouvelot, Parameter Sensitivity Analysis of the Energy/Frequency Convexity Rule for Application Processors J. of Sustainable Computing, Informatics and Systems, Elsevier B.V, vol.15, pp.16-27, 2017.

K. D. Vogeleer, G. Memmi, P. Jouvelot, and F. Coelho, Modeling the temperature bias of power consumption for nanometer-scale cpus in application processors, XIV th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, pp.172-180, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01111647

K. and D. Vogeleer, La loi de convexité énergie-fréquence de la consommation des programmes: modélisation, thermosensibilité et applications, Thèse Télécom ParisTech, 2015.

D. Duarte, G. Geannopoulos, U. Mughal, K. Wong, and G. Taylor, Temperature Sensor Design in a High Volume Manufacturing 65nm CMOS Digital Process, 2007 IEEE Custom Integrated Circuits Conference, pp.221-224, 2007.
DOI : 10.1109/CICC.2007.4405718

H. Esmaeilzadeh, T. Cao, X. Yang, S. M. Blackburn, and K. S. Mckinley, Looking back and looking forward, Communications of the ACM, vol.55, issue.7, pp.105-114, 2012.
DOI : 10.1145/2209249.2209272

H. Esmaeilzadeh, T. Cao, X. Yang, S. M. Blackburn, and K. S. Mckinley, What is Happening to Power, Performance, and Software?, IEEE Micro, vol.32, issue.3, p.3, 2012.
DOI : 10.1109/MM.2012.20

X. Fan, C. S. Ellis, and A. R. Lebeck, The Synergy Between Power-Aware Memory Systems and Processor Voltage Scaling, Proceedings of the Third international conference on Power -Aware Computer Systems, pp.164-179, 2004.
DOI : 10.1145/381677.381701

A. Ferre and J. Figueras, Characterization of leakage power in CMOS technologies, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196), pp.185-188, 1998.
DOI : 10.1109/ICECS.1998.814859

D. Ferreira, A. Dey, and V. Kostakos, Understanding Human-Smartphone Concerns: A Study of Battery Life, LNCS, vol.6696, pp.19-33, 2011.
DOI : 10.1145/1814433.1814464

V. W. Freeh, D. K. Lowenthal, F. Pan, N. Kappiah, R. Springer et al., Analyzing the Energy-Time Trade-Off in High-Performance Computing Applications, IEEE Transactions on Parallel and Distributed Systems, vol.18, issue.6, pp.6-835, 2007.
DOI : 10.1109/TPDS.2007.1026

G. Hager, J. Treibig, J. Habich, and G. Wellein, Exploring performance and power properties of modern multicore chips via simple machine models, p.2908, 1208.

H. Hanson, S. Keckler, S. Ghiasi, K. Rajamani, F. Rawson et al., Thermal response to DVFS, Proceedings of the 2007 international symposium on Low power electronics and design, ISLPED '07, pp.219-224, 2007.
DOI : 10.1145/1283780.1283827

V. Hanumaiah and S. Vrudhula, Reliability-aware thermal management for hard real-time applications on multi-core processors, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763032

V. Hanumaiah and S. Vrudhula, Temperature-Aware DVFS for Hard Real-Time Applications on Multicore Processors, IEEE Transactions on Computers, vol.61, issue.10, pp.1484-1494, 2012.
DOI : 10.1109/TC.2011.156

H. Umahashi, K. Masuda, S. Usami, H. Takeda, M. Nakamura et al., Geyser-1: a MIPS R3000 CPU core with fine-grained run-time power gating, ASP-DAC, pp.369-370, 2010.

J. Kong, S. W. Chung, and K. Skadron, Recent thermal management techniques for microprocessors, ACM Computing Surveys, vol.44, issue.3, pp.1-13, 2012.
DOI : 10.1145/2187671.2187675

P. Lall, M. Pecht, and E. Hakim, Influence of Temperature on Microelectronics and System Reliability: A Physics of Failure Approach. The electronic packaging series, 1997.

E. , L. Sueur, and G. Heiser, Dynamic voltage and frequency scaling: the laws of diminishing returns, Proc. of the 2010 Int. Conf. on Power aware computing and system HotPower'10, pp.1-8, 2010.

W. Liao, J. M. Basile, and L. , He: Leakage power modeling and reduction with data retention, Proc. of the, pp.714-719, 2002.

W. Liao, L. He, and K. M. Lepak, Temperature and supply voltage aware performance and power modeling at microarchitecture level, IEEE Trans. Comp.-Aided Des. Integ. Cir. Sys, vol.247, pp.1042-1053, 2006.

R. M. Mcgowen, C. Poirier, C. Bostak, J. Ignowski, M. Millican et al., Power and Temperature Control on a 90-nm Itanium Family Processor, IEEE Journal of Solid-State Circuits, vol.41, issue.1, pp.1-229, 2006.
DOI : 10.1109/JSSC.2005.859902

F. Mesa-martinez, M. Brown, J. Nayfach-battilana, and J. Renau, Measuring power and temperature from real processors, 2008 IEEE International Symposium on Parallel and Distributed Processing, pp.1-5, 2008.
DOI : 10.1109/IPDPS.2008.4536423

F. Rezzi, L. Collamati, M. Costagliol, and M. Cutrupi, Battery Management in Mobile Devices, Frequency References, Power Management for SoC, and Smart Wireless Interfaces, pp.147-168, 2014.
DOI : 10.1007/978-3-319-01080-9_9

S. Sarangi, G. Ananthanarayanan, and M. Balakrishnan, LightSim: A leakage aware ultrafast temperature simulator, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.855-860, 2014.
DOI : 10.1109/ASPDAC.2014.6742997

V. Seeker, P. Petoumenos, H. Leather, and B. , Franke: Measuring QOE of interactive workloads and characterising frequency governors on mobile devices, 2014 IEEE International Symposium on Workload Characterization, pp.61-70, 2014.

E. Senn, J. Laurent, N. Julien, and E. Martin, SoftExplorer: Estimating and Optimizing the Power and Energy Consumption of a C Program for DSP Applications, EURASIP Journal on Advances in Signal Processing, vol.2005, issue.16, pp.16-2641, 2005.
DOI : 10.1155/ASP.2005.2641

URL : https://hal.archives-ouvertes.fr/hal-00077302

M. Seok, D. Jeon, C. Chakrabarti, D. Blaauw, and D. Sylvester, Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.990-995, 2011.
DOI : 10.1145/2024724.2024943

T. Simunic, L. Benini, and G. Micheli, Cycle-accurate simulation of energy consumption in embedded systems, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361), pp.867-872, 1999.
DOI : 10.1109/DAC.1999.782199

K. Singh, M. Bhadauria, and S. A. Mckee, Real time power estimation and thread scheduling via performance counters, ACM SIGARCH Computer Architecture News, vol.37, issue.2, pp.46-55, 2009.
DOI : 10.1145/1577129.1577137

A. Sinha and A. P. Chandrakasan, JouleTrack, Proceedings of the 38th conference on Design automation , DAC '01, pp.220-225, 2001.
DOI : 10.1145/378239.378467

D. Snowdon, S. Ruocco, and G. Heiser, Power management and dynamic voltage scaling: Myths and facts, WS Power Aware Real-time Comput, 2005.

H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif, Full chip leakage estimation considering power supply and temperature variations, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, pp.78-83, 2003.
DOI : 10.1145/871506.871529

N. Vallina-rodriguez and J. Cowcroft, Energy Management Techniques in Modern Mobile Handsets, IEEE Communications Surveys & Tutorials, vol.15, issue.1, pp.179-198, 2013.
DOI : 10.1109/SURV.2012.021312.00045

K. R. Vaddina, F. Brandner, G. Memmi, and P. Jouvelot, Experimental Energy Profiling of Energy- Critical Embedded Applications, IEEE SoftCom'17, 2017.

R. Viswanath, V. Wakharkar, A. Watwe, and V. Lebonheur, Thermal performance challenges from silicon to systems, Intel Technology Journal, pp.3-4, 2000.

A. Weissel and F. Bellosa, Dynamic thermal management for distributed systems, Proc. of the First Workshop on Temperature-Aware Computer Systems (TACS'04), 2004.

Y. You, C. Lee, and J. K. Lee, Compiler Analysis and Supports for Leakage Power Reduction on Microprocessors, Proceedings of the 15 th international conference on Languages and Compilers for Parallel Computing LCPC'02, pp.45-60, 2005.
DOI : 10.1007/11596110_4

T. Yuki and S. Rajopadhye, Folklore Confirmed: Compiling for Speed $$=$$ Compiling for Energy, Proc. of the 26 th International Workshop on Languages and Compilers for Parallel Computing, 2013.
DOI : 10.1007/978-3-319-09967-5_10

F. Zanini, F. Atienza, C. N. Jones, L. Benini, and G. Micheli, Online thermal control methods for multiprocessor systems, ACM Transactions on Design Automation of Electronic Systems, vol.18, issue.1, pp.1-6, 2013.
DOI : 10.1145/2390191.2390197